A Lithographic Mask Manufacturability and Pattern Fidelity Aware OPC Algorithm
نوع المنشور
ورقة مؤتمر
المؤلفون

Optical Proximity Correction (OPC) is still the main stream among Resolution Enhancement Techniques (RETs) for printing advanced technology nodes in optical lithography in the foreseeable future. However, to keep pace with the continuous shrinkage of feature dimensions, OPC algorithms are getting more aggressive to achieve acceptable pattern fidelity on the silicon wafer. This results in outputting complex mask patterns whose manufacturability is degraded. In this paper, we propose an intensity based OPC algorithm to improve mask manufacturability with preserving acceptable pattern fidelity following linearly interpolated intensity error model. Experimental results show the effectiveness of our algorithm on the public benchmarks

المؤتمر
عنوان المؤتمر
The 2016 International Symposium on VLSI Design, Automation and Test (VLSI-DAT 2016)
دولة المؤتمر
تايوان
تاريخ المؤتمر
25 إبريل، 2016 - 27 إبريل، 2016
راعي المؤتمر
IEEE
معلومات إضافية
موقع المؤتمر الإلكتروني